MEMS Executive Congress Europe 2013
Sponsors

Interested in sponsoring MEMS Executive Congress? Contact Karen Lightman today about opportunities or call (412) 390-1644.
 
 
Platinum Sponsor

 
EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world.
 
For more information, visit: www.EVGroup.com
 
 
 
 
Gold Sponsor

 
SPTS Technologies designs, manufactures, sells, and supports etch, PVD, CVD and thermal capital equipment and process technologies for the global semiconductor and micro-device industries, with focus on the MEMS, advanced packaging, LED, high speed RF device and power management markets.
 
The solutions offered by SPTS include market-leading silicon etch, dielectric etch, dry-release etch, PVD, PECVD, APCVD and large batch vertical furnaces, available with a range of wafer-handling options applicable to R&D, pilot production, or volume production. Comprehensive service and spare parts support are offered through a worldwide network of service centres and qualified local agents. 
 
For additional product or contact information visit: www.spts.com
 
 


Silver Sponsors

Analog Devices offers a comprehensive portfolio of high performance MEMS inertial sensors and microphones including a range of discrete components and plug-in ready solutions. Every ADI MEMS accelerometer, gyroscope, inertial measurement unit (IMU), and analog or digital output microphone integrates industry-leading sensor and sensor signal conditioning technology on chip, enabling the latest innovations in industrial, medical, automotive and consumer design. 
 
For more information, visit: www.analog.com/MEMS
 
  
 Freescale is a global leader in embedded processing solutions, providing industry leading products that are advancing the automotive, consumer, industrial and networking markets. From microprocessors and microcontrollers to sensors, analog integrated circuits and connectivity – our technologies are the foundation for the innovations that make our world greener, safer, healthier and more connected. Expanding on its more than 30-year heritage of sensor innovation, Freescale has an extensive portfolio of acceleration, magnetic, pressure and touch sensors. Freescale's Xtrinsic sensing solutions are designed with the right combination of high-performance sensing capability, processing capacity and customizable software to help deliver smart, differentiated sensing applications.
 
Some of our key applications and end-markets include automotive safety, hybrid and all-electric vehicles, next generation wireless infrastructure, smart energy management, portable medical devices, consumer appliances and smart mobile devices. The company is based in Austin, Texas, and has design, research and development, manufacturing and sales operations around the world. http://www.freescale.com/sensors
 
 For more information visit: www.freescale.com


    
  
With over 60 years of engineering experience and thousands of active systems installed worldwide, SUSS MicroTec is amongst the leading suppliers of process equipment for semiconductors and related markets. The solution portfolio covers all performance steps for photomask and wafer processing ranging from cleaning, coating, baking, developing, aligning, to wafer bonding and is complemented by micro-optical components.

From Advanced Packaging to 3D Integration.  SUSS MicroTec has been at the forefront of companies supporting the advanced packaging industry with dedicated lithography solutions. With the trend leading towards 3D architectures for IC integration and packaging, we support 3D Packaging and 3D Interconnect processes with precision equipment for thick resist and high topography applications, Through-Silicon-Via (TSV) manufacturing, bonding and de-bonding solutions, stacking technologies as well as products for the production of CMOS image sensors.

MEMS and Compound Semiconductor Manufacturing. With a full range of wafer-processing equipment and extensive experience in warped wafer handling solutions, SUSS MicroTec has positioned itself as the leader in high-volume Micro-Electro-Mechanical-Systems (MEMS) manufacturing. Our equipment is specifically designed to handle non-standard substrates like fragile compound semiconductors and incorporates specialized hardware such as coaters, aligners and bonders optimized for LED manufacturing.
 
For more information, visit: www.suss.com
 
 
ST is a global leader in the semiconductor market serving customers across the spectrum of sense and power technologies and multimedia convergence applications. From energy management and savings to trust and data security, from healthcare and wellness to smart consumer devices, in the home, car and office, at work and at play, ST is found everywhere microelectronics make a positive and innovative contribution to people's life. By getting more from technology to get more from life, ST stands for life.augmented. In 2011, the Company’s net revenues were $9.73 billion.

ST has a strong focus on delivering solutions that help enrich people’s lives, make society work better, and protect the planet. The Company’s world-class products and technologies serve to:
  • enable the convergence of multimedia and communication in smart consumer devices that help people interact anywhere, anytime;
  • increase energy efficiency all along the energy chain, from power generation to distribution and consumption;
  • provide all aspects of data security and protection;
  • and contribute to helping people live longer and better by enabling emerging healthcare and wellness applications.
 
For more information, visit: www.st.com
 
 
 
Bronze Sponsor

 
Applied Materials, Inc. is the global leader in providing innovative equipment, services and software to enable the manufacture of advanced semiconductor, flat panel display and solar photovoltaic products. Our technologies help make innovations like smartphones, flat screen TVs and solar panels more affordable and accessible to consumers and businesses around the world.
 
At Applied Materials, our mission is to help turn next generation technology into profitable new market opportunities for our customers. With over 40 years' experience, we are the global leader in creating high-volume nanomanufacturing technology and equipment. In that time, we've helped high-tech manufacturers improve factory efficiency and end-product performance, effectively creating and taking advantage of market growth opportunities.  We are the company behind the scenes of some of the industries that have helped changed the world as we know it. 
 
For more information, visit: www.appliedmaterials.com
 

 
 
Press Sponsors

 
Bosch Sensortec GmbH is a fully owned subsidiary of Robert Bosch GmbH. It develops and markets micro-mechanical sensors for consumer electronics, mobile phones, safety systems, industrial technology and logistics. The product portfolio includes triaxial geomagnetic and acceleration sensors, triaxial gyroscopes, barometric pressure sensors and a comprehensive software portfolio for various applications. Since its foundation in 2005 Bosch Sensortec emerged as the technology leader in the addressed markets. The Bosch Group has been the global market leader for MEMS sensors since 1998 and has to date sold more than 2 billion MEMS sensors.

For more information, visit www.bosch-sensortec.com
 
Bosch Automotive Electronics (AE) - headquartered in Reutlingen, Germany - is the largest manufacturer of micromechanical sensors and one of the largest automotive semiconductor manufacturers in Europe. AE is the undisputed market leader for automotive MEMS (Micro-Electro-Mechanical Systems) sensors. We design, manufacture, and sell sensors, ASICs, ASSPs, and power semiconductors, based on experience in automotive electronics components of more than 40 years. With sales and application forces located in Europe, North America, Japan, China, and South Korea, AE offers worldwide customer support. In addition to the Bosch Group itself, our customer base includes many well-known ECU makers in the Automotive sector.
 
For more information about Bosch Automotive Electronics, visit www.bosch-sensors.com.


 
Akustica, Inc. designs, develops, and markets proprietary silicon microphones, speakers and complete acoustic system-on-chip solutions. Through a revolutionary technology known as Sensory Silicon™, Akustica products enable cell phones, PC’s, and other electronic products to sense and respond to the world around them. Leveraging standard complementary metal oxide semiconductor (CMOS) processes and micro- electromechanical systems (MEMS) technology, Akustica fabricates acoustic membranes and other sensor structures in the same chip with analog and digital circuitry.
 
To learn more about Akustica and its solutions, please visit: www.akustica.com
 
 
 
 
Panel Sponsors

 For over 40 years Polytec provides high-technology, laser-based measurement solutions to researchers and engineers. Our commitment is to provide the most precise and reliable optical instruments and sensors available for measuring dynamic mechanical and static geometry properties of test objects in many fields of science and industry.

In the field of micro and nano technology Polytec's innovative, non-contact optical metrology enables the systematic testing of dynamic mechanical response to important electrical and physical inputs, working with resolutions in the nanometer range and below. Fine 3-D surface structures can be measured by white-light interferometry also at the nanometer scale.
 For more information, visit: www.polytec.com/us/
 
 

Tronics is an international full service MEMS manufacturer. With wafer fabs in France and the USA, and representation in Asia, Tronics provides local support to customers on three continents, and offers one of the broadest technology portfolios in the industry. Tronics services range from MEMS design to high volume MEMS manufacturing.

The company builds reliable supply chains for delivery of MEMS ranging from wafers to custom components, but also supports earlier phases of projects where prototyping is required.

Founded in 1997, Tronics has more than 15 years of experience in developing, manufacturing and packaging accelerometers, gyroscopes, micropumps, pressure sensors, switches, biodetectors and many more MEMS.
 
For more information, visit: www.tronicsgroup.com
 

 
 
Dinner Sponsor

Maxim is a world-wide leader in the design, development and manufacture of the analog and mixed-signal devices that enable all four of these key interactions.

Markets Served: Maxim’s products are used in a wide variety of microprocessor-based electronics equipment including consumer products, personal computers and peripherals, handheld electronics, wireless and fiber communications, test equipment, instrumentation, video displays, and automotive applications.

Competitive Advantage: Product quality and reliability. Maxim has analog solutions for all systems.

For more information, visit: www.maxim-IC.com
 
 
 
 
 
 Dessert and Cocktail Sponsor


Teledyne DALSA Semiconductor  is a leading pure-play MEMS Foundry with extensive experience on fabrication and materials science that make manufacturing of MEMS product possible on 150mm /200mm wafers. Teledyne DALSA Semiconductor also offers industry-leading manufacturing capability,  design support and custom design services for high voltage CMOS ICs and MEMS micro-mirrors applications.
 
For more information, visit: www.teledynedalsa.com
 
 
 
 
Program Sponsor

For more information, visit: www.memsjournal.com

 
Break Sponsors


Fries Research & Technology GmbH (FRT) offers a comprehensive range of metrological surface measuring systems for the non-destructive investigation of topography, profile, film thickness, roughness, abrasion and many other properties. More than 400 reputable international companies from the automotive, semiconductor, MEMS, optical, photovoltaic and many other industries equip their R&D and production departments with FRT metrology systems.

FRT operates from Bergisch Gladbach, Germany and maintains subsidiaries in China, Switzerland and the United States. Additionally, FRT provides a distribution and service network in the USA, Asia and Europe.
 
For more information, visit: www.frt-gmbh.de

 
Plan Optik AG, a high tech glass processor, existing since 1972 and based in Germany, has focused more and more on MEMS related products from glass or similar materials (mainly borosilicate glass wafers used for wafer level packaging of MEMS).
 
Within the last years, Plan Optik - Wafers set the standard for glass wafers of this still young market.  In the near future the technologies developed and used by Plan Optik will set new standards in consumer electronics - especially for mobile phones and DVD pickup heads of the newest generation.
 
Plan Optik is already serving customers in Asia, North America and Europe such as Infineon, Motorola, Samsung, Honeywell, Zeiss and Bosch.  Markets Served: MEMS, Semiconductor, Consumer Electronic.
 
For more information, visit: www.planoptik.com 
 

 
SILEX MICROSYSTEMS AB is the world's largest pure-play MEMS foundry. We service the advanced MEMS and heterogenous packaging needs of the world's leading companies. With production operations totaling 25,000 square feet with dedicated lines for both 6" and 8" wafers, Silex has successfully completed over 300 MEMS projects with over 100 corporate customers. Silex' Sil-Via(r) has provided advanced TSV solutions in production for over 5 years. Silex' core technologies enable some of the world's brightest innovations. Since our beginnings in 2000, Silex has been a dedicated manufacturer of customized MEMS products, operating as an independent MEMS foundry. We offer unparalleled processing power in the world’s first dedicated eight-inch pure play MEMS foundry fab.

For
more information, visit: www.silexmicrosystems.com
 
 
 
Supporting Sponsors

 
eejournalEEJournal.com brings you more in-depth coverage of the fast-changing MEMS and sensors space than any other broad-based engineering publication. EE Journal's editors look past the news, bringing you in-depth analysis, insight, and tips to help you succeed in your next project. We tackle the dynamic MEMS space with energy, experience, and a sense of humor. Subscribe today for our new MEMS-focused newsletter, or visit our MEMS channel online at: http://www.eejournal.com/design/electromechanical
 
 
  
IHS iSuppli technology value chain research and advisory services range from electronic component research to device-specific application market forecasts, from teardown analysis to consumer electronics market trends and analysis and from display device and systems research to automotive telematics, navigation and safety systems research. For more information, please visit www.isuppli.com and by following on twitter.com/iSuppli.

For more information, visit: www.isuppli.com
 
 
 
Imec performs world-leading research in nanoelectronics. Imec leverages its scientific knowledge with the innovative power of its global partnerships in ICT, healthcare and energy. Imec delivers industry-relevant technology solutions. In a unique high-tech environment, its international top talent provides the building blocks for a better life in a sustainable society. Imec is headquartered in Leuven, Belgium, and has offices in Belgium, the Netherlands, Taiwan, US, China, India and Japan. Its staff of close to 2,000 people includes more than 600 industrial residents and guest researchers. In 2011, imec's revenue (P&L) was about 300 million euro.  

For more information: www.imec.be.

 
 
 
 
IVAM Microtechnology Network, Dortmund, Germany
 
Being an international association of companies and institutes in the fields of microtechnology, nanotechnology and advanced materials, we make every possible effort to create essential competitive advantages for our members via technology marketing. Our members have benefited from our commitment and competence since 1995. About 300 member companies and institutes from 20 countries open up new markets and set new standards with the support of IVAM. We bring innovations to market, offers lobbying services for small and medium-sized enterprises, various publications and world-wide networking on trade fairs and events.

For more information, visit: www.ivam.eu
 
 
The MEMS and Nanotechnology Exchange (MNX) provides design and fabrication services to the U.S. research community.  Our engineers offer a complete range of technical support to assist researchers who need a trusted partner at any project phase, including early-stage development, design and modeling, prototype fabrication and low-volume manufacturing.  Since 1999, MNX has completed more than 2400 customized process sequences for over 900 organizations.
 

For more information, visit: www.memsnet.org

 
The parole for this year’s edition is “Meet the Micro & Nano Experts @ Ede”. A major focal point is an attractive program of high quality with a good balance and interaction between technology, applications and science. Last year one of the parallel sessions was a “House of Commons” type debate where the industry and science went into debate about the hot issues in innovation. All in all, this conference is the event to meet and discuss with the experts in the field of Micro & Nano
 
For more information, visit: www.minacned.nl
 
 
 
Over the last 2 decades Roessingh Research and Development has been at the forefront of developing MEMS based body worn monitoring systems for use in rehabilitation, physical therapy, sports and ergonomics. Although focus has shifted from being directly involved in custom design of both MEMS and sensor modules for 3D movement, EMG and force monitoring towards application development, RRD still tackles all issues facilitating future actual large scale application. RRD actively invests in optimizing the complete chain from MEMS development, over data fusion algorithms to development and implementation of applications and protocols in clinical settings, sports and ergonomics.
 
For more information, visit: www.rrd.nl
 
 
 
SEMICON Europa 2013, October 8-10, at Messe Dresden in Dresden, Germany, is the leading European exposition for microelectronics manufacturing. With an expanding focus on growing markets including MEMS, printed/flexible electronics, solid state lighting, and related technologies it the ideal venue to showcase technologies in front of a highly qualified and diversified audience of engineers, fab managers, researchers, executives, and other key decision-makers. SEMICON Europa 2013 brings together the leaders and innovators in the region and more than 350 exhibiting companies. It is the platform to conduct real business and identify new opportunities.
 
For more information, visit: www.semiconeuropa.org
 
 
 
Solid State Technology is the leader in covering MEMS, semiconductor, packaging, displays and LEDs manufacturing technology, materials, products and news. For over 50 years, we have delivered the highest caliber content through our free magazine, and we also offer free e-Newsletters, a comprehensive website and an annual conference, The ConFab.

In Solid State Technology Magazine, our MEMS direct e-Newsletter and the MEMS channel on our website (www.solid-state.com/mems), we focus on MEMS manufacturing and packaging challenges and new integration strategies.

Request your FREE subscription at www.solid-state.com/subscribe, and see why electronics manufacturing professionals worldwide trust Solid State Technology. 

 
Beginning in 1998 with Yole Développement, we have expanded to a group of companies providing market research, technology analysis, strategy consulting, media, and financial services. 

Yole Développement group has grown to more than 50 associates worldwide covering emerging and disruptive silicon and micro manufacturing markets including MEMS, medical technologies (including Microfluidics and BioMEMS), advanced packaging, compound semiconductors, power electronics, LEDs, and photovoltaics. The group supports companies, investors and R&D organizations worldwide in understanding markets and following technology trends to grow their businesses.

 For more information, visit: www.yole.fr
 
 
The "ZVEI - German Electrical and Electronic Manufacturers’ Association“ promotes the industry’s joint economic, technological and environmental policy interests on a national, European and global level. The ZVEI represents more than 1,600 companies, mostly SMEs, with 848,000 employees in Germany in 2012, plus 630,000 employees all over the world. In 2012 the turnover was Euro 175 billion. 
 
The electrical and electronics industry is the most innovative and the second largest industry sector in Germany. Every third innovation in Germany´s manufacturing sector stems on solutions of this sector. 20 percent of all industrial R+D spending comes from this industry.
 
For more information, visit: www.zvei.org